home *** CD-ROM | disk | FTP | other *** search
/ CD-ROM Now 11 / CD-ROM Now MegaDisc 11 (1995-02).iso / discs / internet / lsi1.txt < prev    next >
Text File  |  1994-10-26  |  31KB  |  697 lines

  1. Newsgroups: comp.lsi,comp.lsi.cad,news.answers,comp.answers
  2. Path: senator-bedfellow.mit.edu!bloom-beacon.mit.edu!spool.mu.edu!agate!news.ucdavis.edu!altarrib!hemlock
  3. From: altarrib@hemlock.ece.ucdavis.edu (Michael Altarriba)
  4. Subject: comp.lsi.cad Frequently Asked Questions With Answers (Part 1/4) [LONG]
  5. Message-ID: <lsi-cad-faq/part1_745887741@tyfon.eecs.ucdavis.edu>
  6. Followup-To: comp.lsi.cad
  7. Summary: This is a biweekly posting of frequently asked questions with answers 
  8.          the for comp.lsi / comp.lsi.cad newsgroups. It should be consulted 
  9.          before posting questions to comp.lsi or comp.lsi.cad.
  10. Keywords: FAQ
  11. Sender: usenet@ucdavis.edu (News Administrator)
  12. Supersedes: <lsi-cad-faq/part1_744999725@tyfon.eecs.ucdavis.edu>
  13. Reply-To: clcfaq@eecs.ucdavis.edu
  14. Organization: Department of Electrical and Computer Engineering, UC Davis
  15. Date: Fri, 20 Aug 1993 23:02:43 GMT
  16. Approved: news-answers-request@MIT.Edu
  17. Lines: 677
  18. Xref: senator-bedfellow.mit.edu comp.lsi:3069 comp.lsi.cad:3351 news.answers:11626 comp.answers:1674
  19.  
  20. Archive-name: lsi-cad-faq/part1
  21.  
  22.  
  23. Welcome to comp.lsi.cad / comp.lsi: this is the biweekly posting of fre-
  24. quently asked questions with answers.  Before you post a question such as
  25. "Where can I ftp spice from?", please make sure that the answer is not
  26. already here.  If you spot an error, or if there is any information that
  27. you think should be included, please send us a note at
  28. clcfaq@eecs.ucdavis.edu.
  29.  
  30. The products and packages described here are intended for research and edu-
  31. cational use. As such, we try to limit our entries to applications which
  32. are available for free or at low cost (< $500). We also wish to limit the
  33. descriptions to at most a page (60 lines) in length.
  34.  
  35.     Bret Rothenberg <rothenbe@eecs.ucdavis.edu>
  36.     Wes Hardaker <hardaker@eecs.ucdavis.edu>
  37.     Mike Altarriba <altarrib@eecs.ucdavis.edu>
  38.  
  39.     Solid State Circuits Research Laboratory
  40.     Electrical Engineering and Computer Science
  41.     University of California, Davis
  42.     Davis, California 95616
  43.  
  44. ----------------------------------------------------------------------
  45.  
  46.   $Id: comp.lsi.cad.FAQ.ms,v 1.62 93/08/20 16:00:38 altarrib Exp $
  47.  
  48.   Frequently Asked Questions with Answers
  49.  
  50.     1: Readership report for comp.lsi.cad and comp.lsi
  51.     2: Mosis Users' Group (MUG)
  52.     3: Improved spice listing from magic.
  53.     4: Tips and tricks for magic (Version 6.3)
  54.     5: What can I use to do good plots from magic/CIF?
  55.     6: What tools are used to layout verification?
  56.     7: EDIF data exchange format.
  57.     8: What layout examples are available?
  58.     9: How can I get my lsi design fabbed and how much will it cost?
  59.     10: Mosis fabrication services.
  60.     11: Archive sites for comp.lsi.cad and comp.lsi
  61.     12: Other newsgroups that relate to comp.lsi*
  62.     13: Simulation programs tips/tricks/bugs
  63.   ! 14: Getting the latest version of the FAQ
  64.     15: Converting from/to GDSII/CIF/Magic
  65.     16: CFI (CAD Framework Initiative Inc.)
  66.     17: What synthesis systems are there?
  67.     18: What free tools are there available, and what can they do?
  68.     19: What Berkeley Tools are available for anonymous ftp?
  69.     20: What Berkeley Tools are available through ILP?
  70.     21: Berkeley Spice (Current version 3f2)
  71.     22: Octtools (Current version 5.1)
  72.     23: Ptolemy (Current version 0.4)
  73.     24: Lager (Current version 4.0)
  74.     25: BLIS (Current version 2.0)
  75.     26: COSMOS and BDD
  76.     27: ITEM
  77.     28: PADS logic/PADS PCB
  78.     29: Another PCB Layout Package
  79.     30: Magic (Current version 6.3)
  80.     31: PSpice
  81.     32: Esim
  82.     33: Isplice3 (Current version 2.0)
  83.     34: Watand
  84.     35: Caltech VLSI CAD Tools
  85.     36: Switcap2 (Current version 1.1)
  86.     37: Test Software based on Abramovici text
  87.     38: Atlanta and Soprano automatic test generators
  88.     39: Olympus Synthesis System
  89.     40: OASIS logic synthesis
  90.     41: T-SpiceTM (was CAzM), a Spice-like table-based analog circuit simulator
  91.     42: Galaxy CAD, integrated environment for digital design for Macintosh
  92.     43: Gabriel DSP development system
  93.     44: WireC graphical/procedural system for schematic information
  94.     45: LateX circuit symbols for schematic generation
  95.     46: Tanner Research Tools (Ledit and LVS) (Commercial Product)
  96.     47: SIMIC, a full-featured logic verification simulator
  97.     48: LASI CAD System, IC and device layout for IBM compatibles
  98.     49: EEDRAW, an electrical/electronic diagramming tool for IBM compatibles
  99.     50: MagiCAD, GaAs Gate Array Design through MOSIS
  100.     51: XSPICE, extended version of Spice
  101.     52: MISIM, a model-independent circuit simulation tool
  102.     53: Nelsis Cad Framework
  103.     54: APLAC, a system-level simulator and IEEE-488 measurement tool
  104.     55: SLS, a switch-level simulator
  105.     56: OCEAN, a sea-of-gates design system
  106.   + : new item
  107.   ! : changed
  108.   ? : additional information for this subject would be appreciated.
  109.  
  110. 1: Readership report for comp.lsi.cad and comp.lsi
  111.  
  112.   This is the full set of data from the USENET readership report for Jul
  113.   93.  Explanations of the figures are in a companion posting in
  114.   news.lists.
  115.  
  116.           +-- Estimated total number of people who read the group,
  117.           |   worldwide.
  118.           |      +-- Actual number of readers in sampled population.
  119.           |      |     +-- Propagation: how many sites receive this group
  120.           |      |     |   at all.
  121.           |      |     |      +-- Recent traffic (messages per month).
  122.           |      |     |      |     +-- Recent traffic (kilobytes per
  123.           |      |     |      |     |   month).
  124.           |      |     |      |     |       +-- Crossposting percentage
  125.           |      |     |      |     |       |    +-- Cost ratio:
  126.           |      |     |      |     |       |    |   $US/month/rdr
  127.           |      |     |      |     |       |    |      +-- Share: % of
  128.           |      |     |      |     |       |    |      |   newsreaders
  129.           |      |     |      |     |       |    |      |   who read this
  130.           |      |     |      |     |       |    |      |   group.
  131.           V      V     V      V     V       V    V      V
  132.  
  133.    547  37000   604   82%    58   244.4    27%  0.01   1.6%  comp.lsi
  134.    438  41000   674   78%    65   122.1     7%  0.00   1.7%  comp.lsi.cad
  135.  
  136. 2: Mosis Users' Group (MUG)
  137.  
  138.   (From the Microelectronics Systems Newsletter)
  139.  
  140.   The MOSIS  Users'  Group (MUG)  Newsletter is now known as the Microelec-
  141.   tronic Systems Newsletter.  The name change reflects the increased scope
  142.   of this newsletter which includes not only items of interest to those
  143.   designing integrated circuits for prototyping via MOSIS but also for
  144.   those designing, prototyping and producing microelec- tronic systems.
  145.   This issue is being distributed only via elec- tronic means to about 1600
  146.   individuals throughout the world.
  147.  
  148.   We hope that you enjoy receiving this newsletter and find it useful.
  149.   Comments and suggestions should be directed to the Editor along with any
  150.   change in address. If you prefer not to receive messages of this type,
  151.   which will occur no more often than monthly, please contact the Editor.
  152.  
  153.           Newsletter Editor
  154.           Prof. Don Bouldin
  155.           Electrical & Computer Engineering
  156.           University of Tennessee
  157.           Knoxville, TN 37996-2100
  158.           Tel:  (615)-974-5444
  159.           FAX:  (615)-974-5492
  160.           Email:  bouldin@sun1.engr.utk.edu
  161.           Compmail II:  D.Bouldin
  162.  
  163.   A variety of design files and CAD tools contributed by the members of the
  164.   MOSIS Users' Group (MUG) are now available via anonymous ftp from
  165.   "venera.isi.edu" (128.9.0.32) in directory "pub/mug".  The files "readme"
  166.   and "index" should be retrieved first.  These files are provided "as is",
  167.   but may prove very helpful to those using the MOSIS integrated circuit
  168.   prototyping service.
  169.  
  170. 3: Improved spice listing from magic.
  171.  
  172.   Hierarchical extractions with net names: ext2spice done by Andy Burstein
  173.   <burstein@zabriskie.berkeley.edu>:
  174.  
  175.   This program will do hierarchial extraction using node names.  It sup-
  176.   ports PS, PD, AS, and AD extraction as well.  It is available for ftp
  177.   from ic.berkeley.edu in pub/spice3/ext2spice.tar.
  178.  
  179.   Poly and well resistance extraction: There are persistent rumors that
  180.   people have this working, however, all I have seen is extracted poly
  181.   resistor with each end shorted together, ie each end has the same node
  182.   name/number.
  183.  
  184.   (This is the most annoying problem that I typically encounter daily.  If
  185.   ANYONE knows a fix for this, please tell us! I wrote a real quick and
  186.   dirty set of scripts/programs to edit the magic file.  It will break the
  187.   poly contacts and relabel them.  This is a real hack, but all other solu-
  188.   tions require modification of the magic code itself.  This procedure only
  189.   works with an extractor that handles labeled nodes, i.e. ext2spice from
  190.   above.  --WH)
  191.  
  192.   There is an upcoming release of Magic 6.45 that is supposed to have a
  193.   greatly improved netlister.  Here is part of the annoucement:
  194.  
  195.   The AuE Magic release provides hierarchical SPICE and LSIM netlist
  196.   extractors not available in previous Magic releases. Previously, a flat
  197.   SPICE netlist could be obtained using a program called "ext2spice". AuE
  198.   provides a hierarchical SPICE netlister which provides a robust set of
  199.   SPICE parameters for every device, including transistor source/drain dif-
  200.   fusion perimeters and areas. The extractor has also been modified to
  201.   correctly account for the shared S/D regions on stacked devices.
  202.  
  203.   The AuE extractor supports LSIM netlists, an Hspice compatible netlist,
  204.   and a SpiceIIG compatible netlist. The SpiceIIG format uses node numbers
  205.   instead of node names. The AuE SPICE extractor also recognizes bipolar
  206.   junction transistors (BJTs) in several configurations. The previous Magic
  207.   netlist extractor does not recognize BJT devices in any form.
  208.  
  209.   Spice listing from magic with MESFETs.
  210.  
  211.   (from Jen-I Pi <pi@isi.edu>)
  212.  
  213.   We have a revised version (of sim2spice) that goes with version6. It is
  214.   available from our anonymous FTP host "venera.isi.edu" (128.9.0.32) under
  215.   the pub/mosis/magic directory. The file you need is "gaas_extract.tar.Z".
  216.  
  217.   Assuming file inv.ext exist, the procedure for using 'sim2spice' is
  218.  
  219.       ext2sim inv
  220.       sim2spice inv.sim
  221.  
  222.   Here's the resulting SPICE decks for SPICE3e...
  223.  
  224.           SPICE 3 Deck created from inv.sim, tech=edgaas
  225.           *
  226.           z2 3 4 2 efet1.2 2.8
  227.           C3 3 0    0.485F
  228.           C4 4 0    1.062F
  229.           z1 1 4 3 dfet1.2 2.8
  230.           *
  231.  
  232.   A new capacitance rule has been added to the base Magic extractor to
  233.   facilitate the extraction of accurate dielectric capacitances. The previ-
  234.   ous verion of Magic did not handle coupling capacitances correctly and
  235.   frequently inserted a substrate capacitor in addition to the correctly
  236.   extracted coupling capacitor.
  237.  
  238.   The AuE Magic release also includes an updated and enhanced technology
  239.   file.  Modifications include fixes to several MOSIS DRC rules which were
  240.   previously improperly checked, modifications to the CIF writer to resolve
  241.   software bugs, and updated capacitance and resistance values in the
  242.   extraction sections of the tech file. Special extraction sections for
  243.   more commonly used processes have also been added.
  244.  
  245.   For information on how to order this version of Magic send an E-mail
  246.   request to magic@AuE.com or send your request in writing to the address
  247.   given below. We will start filling orders in mid October.
  248.  
  249. 4: Tips and tricks for magic (Version 6.3)
  250.  
  251.   Searching for nets:
  252.  
  253.   Yes, magic does actually let you search for node names.  Use :specialopen
  254.   netlist.  Then click on the box underneath label, you will be prompted
  255.   for the name of the label you want to search for.  Enter the name, and
  256.   then press enter twice.  Click on show, and then find, magic will then
  257.   highlight the net.
  258.  
  259.   Bulk node extraction:
  260.  
  261.   Problems with getting the bulk node to extract correctly?  Try labeling
  262.   the well with the node name that it is connected to.
  263.  
  264.   Painting Wells:
  265.  
  266.   Supposedly :cif in magic will automatically paint in the wells correctly.
  267.   However this is not always the case.  If you are using mosis 2u technol-
  268.   ogy, and your wells are getting strange notches in them, you might try
  269.   changing the grow 300 shrink 300 lines in your lambda=1.0(pwell) and
  270.   lambda=1.0(nwell) cif sections of your tech file to grow 450 shrink 450.
  271.   (Remember you can use :cif see CWN to see nwell, if :cifostyle is nwell,
  272.   or :cif see CWP to see pwell if its pwell technology to preview what will
  273.   be done with the well.  You may use :feedback clear to erase what it
  274.   shows you.)
  275.  
  276.   Magic notes available from gatekeeper.dec.com (16.1.0.2):
  277.  
  278.   (Located in pub/DEC/magic)
  279.  
  280.   Magic note.1 - 9/14/90 - ANNOUNCEMENT:  Magic V6 is ready
  281.   Magic note.2 - 9/19/90 - DOC:  Doc changes (fixed in releases after 9/20/90)
  282.   Magic note.3 - 9/19/90 - GRAPHICS:  Mode problem (fixed 9/20/90)
  283.   Magic note.4 - 9/19/90 - HPUX:  rindex macro for HPUX 7.0 and later
  284.   Magic note.5 - 9/19/90 - GCC:  "gcc" with magic, one user's experience
  285.   Magic note.6 - 9/19/90 - FTP:  Public FTP area for Magic notes
  286.   Magic note.7 - 9/20/90 - RSIM:  Compiling rsim, one user's suggestions & hints
  287.   Magic note.8 - 9/26/90 - GENERAL:  Magic tries to open bogus directories
  288.   Magic note.9 - 9/26/90 - GRAPHICS:  Mods to X11Helper
  289.   Magic note.10 - 10/5/90 - DOS:  Magic V4 for DOS and OS/2
  290.   Magic note.11 - 10/11/90 - GENERAL:  reducing memory usage by 600k
  291.   Magic note.12 - 12/19/90 - EXT2xxx:  fixes bogus resistances
  292.   Magic note.13 - 12/19/90 - EXTRESIS:  fixed bug in resis that caused coredump.
  293.   Magic note.14 - 12/19/90 - EXTRESIS:  new version of scmos.tech for extresis
  294.   Magic note.15 - 12/19/90 - TECH:  documentation for contact line in tech file
  295.   Magic note.16 - 12/19/90 - EXTRACT:  bug fix to transistor attributes
  296.   Magic note.17 - 5/13/91 - CALMA:  Incorrect arrays in calma output
  297.   Magic note.18 - 5/14/91 - CALMA:  Extension to calma input
  298.   Magic note.19 - 6/28/91 - IRSIM:  Some .prm files for IRSIM
  299.   Magic note.20 - 7/18/91 - EXTRESIS:  fixes for Magic's extresis command
  300.   Magic note.21 - 2/7/92 - FAQ:  Frequently asked questions
  301.   Magic note.22 - 11/6/91 - CALMA:  how to write a calma tape
  302.   Magic note.23 - 11/4/91 - EXT2xxx:  fix for incorrect resistor extraction
  303.   Magic note.24 - 11/8/91 - EXTRESIS:  fix 0-ohm resistors
  304.   Magic note.25 - 11/15/91 - NEXT:  porting magic to the NeXT machine
  305.   Magic note.26 - 11/21/91 - IRSIM:  fix for hanging :decay command
  306.   Magic note.27 - 12/17/91 - RESIS:  fix for "Attempt to remove node ..." error
  307.   Magic note.28 - 1/28/92 - MAGIC:  anonymous FTP now available
  308.   Magic note.29 - 3/27/92 - PLOT:  support for Versatec 2700
  309.   Magic note.30 - 4/8/92 - PATHS:  Have the ":source" command follow a path
  310.   Magic note.31 - 4/10/92 - MPACK:  Mpack now works with Magic 6.3
  311.   Magic note.32 - 3/13/92 - AED:  Using AED displays with Magic 6.3
  312.   Magic note.33 - 3/13/92 - OPENWINDOWS:  Compilation for OpenWindows/X11
  313.   Magic note.34 - 2/14/92 - OPENWINDOWS:  fix mouse problem
  314.  
  315. 5: What can I use to do good plots from magic/CIF?
  316.  
  317.   (Thanks to Douglas Yarrington <arri@ee.eng.ohio-state.edu> and Harry
  318.   Langenbacher <harry@neuronz.Jpl.Nasa.Gov>, for feedback here.)
  319.  
  320.   CIF:
  321.  
  322.   CIF stands for CalTech Intermediate Form. It's a graphics language which
  323.   can be used to describe integrated circuit layouts.
  324.  
  325.   cif2ps  version 2 (Gordon W. Ross, MITRE):
  326.  
  327.   A much better version of cif2ps, extending the code of cif2ps (Marc
  328.   Lesure, Arizona State University) and cifp (Arthur Simoneau, Aerospace
  329.   Corp).  It features command line options for depth and formatting.  Can
  330.   extend one plot over several pages (up to 5 by 5, or 25 pages). By
  331.   default, uses a mixture of postscript gray fill and cross-hatching.
  332.   Options include rotating the image, selecting the hierarchy depth to
  333.   plot, and plotting style customization.  Plots are in B/W only.
  334.  
  335.   It was posted to comp.sources.misc, and is available by ftp from
  336.   uunet.uu.net(192.48.96.2) as: comp.sources.misc/volume8/cif2ps.Z.
  337.  
  338.   cifplot:
  339.  
  340.   Cifplot plots CIF format files on a screen, printer or plotter.  Cifplot
  341.   reads the .cif file, generates a b/w or color raster dump, and sends it
  342.   to the printer.  Plots can be scaled, clipped, or rotated.  Hierarchy
  343.   depth is selectable, as well as the choice of colormap or fill pattern.
  344.   An option exists which will compress raster data to reduce the required
  345.   disk space.  For those plotting to a Versatec plotter, there is also a
  346.   printer filter/driver available called vdmp.
  347.  
  348.   cifplot (m2c version, from chiang@m2c.org <Rit Chiang>):
  349.  
  350.   The cifplot program from M2C is not in public domain.  However, we do
  351.   provide P.D. CAD tools to university for a fee of $2500/year to cover our
  352.   cost on distribution, telephone hotline support, documentation and
  353.   tutorials, etc., under our CUME (Clearinghouse for Undergraduate
  354.   Microelectronics Education) program.  This program, in the past, was sub-
  355.   sidized by NSF.
  356.  
  357.   The cifplot program was modified by M2C to support plotting for B&W
  358.   PostScript and color PostScript printers, besides the versatec plotters.
  359.   We also provide plotting services for people who sent us a cif file.  The
  360.   cost is $20/per 24" color versatec plot for University and $50 for oth-
  361.   ers.
  362.  
  363.   For more information on the CUME program or the plotting service, please
  364.   send e-mail to hotline@m2c.org.
  365.  
  366.   oct2ps (available as part of the octtools distribution):
  367.  
  368.   It is possible to convert your .mag file to octtools, and then you may
  369.   use oct2ps to print it.
  370.  
  371.   Both cif2ps and oct2ps work well for conversion to postscript.  They do
  372.   look slightly different, so pick your favorite.  Note that cif2ps can be
  373.   converted to adobe encapsulated postscript easily by adding a bounding
  374.   box comment.  oct2ps does convert to color postscript, which can be a
  375.   plus for those of you with color postscript printers.
  376.  
  377.   Flea:
  378.  
  379.   Flea ([F]un [L]oveable [E]ngineering [A]rtist) is a program used to plot
  380.   magic and cif design files to various output devices. Parameters are
  381.   passed to flea through the flags and flag data or through .flearc files
  382.   and tech files.  Supports: HP7580 plotter, HP7550 hpgl file output,
  383.   HP7550 plotter lpr output, Postscript file output, Laser Writer lpr out-
  384.   put, Versatec versaplot random output.  Options include: Does line draw-
  385.   ings with crosshatching for postscript, versatec, and hp plotters.  Many
  386.   options (depth, label depth, scale, path, format...)
  387.  
  388.   Available by ftp from zeus.ee.msstate.edu in pub/flea.tar.Z.
  389.  
  390.   pplot:
  391.  
  392.   Can output color PostScript from CIF files. The source is available from:
  393.   tesla.ee.cornell.edu in /pub/cad/pplot.tar.Z. It only generates PS files
  394.   (including color PS), and there's no support for EPS files.  It is lim-
  395.   ited in its support of cif commands.  (Wire, roundflash, and delete are
  396.   not supported.)  It only supports manhattan geometry (Polygons and rota-
  397.   tions may only be in 90 degree multiples.)
  398.  
  399.   vic:
  400.  
  401.   Part of the U. of Washington's Northwest Lab, for Integrated Systems Cad
  402.   Tool Release (previously UW/NW VLSI Consortium).  Does postscript and HP
  403.   pen plotters.  Only available as part of the package.
  404.  
  405.   CIF/Magic -> EPS -> groff/latex
  406.  
  407.   Currently no prgram here directly generates EPS files.  It is possible to
  408.   add an EPS bounding box (%% BoundingBox: l t b r) to the output from
  409.   these programs to get an EPS file.  Alternatively, ps2eps or ps2epsf may
  410.   be used.
  411.  
  412. 6: What tools are used to layout verification?
  413.  
  414.   Gemini:
  415.  
  416.   This is an excellent program that was done by Carl Ebeling.  There is a
  417.   new version that is currently in beta.  This version supports serveral
  418.   different netlist formats.  Devices with any number of terminals are sup-
  419.   ported.  (This could be suitable for use at digital block level LVS, for
  420.   example.)  LVS of mosfet w/l and capacitor values is supported as well.
  421.  
  422.   Contact:
  423.  
  424.           Carl Ebeling
  425.           Computer Science Department, FR-35
  426.           University of Washington
  427.           Seattle, WA  98195
  428.           ebeling@cs.washington.edu
  429.  
  430.   Tanner LVS:
  431.  
  432.   This is a relatively inexpensive commercial product, see the section on
  433.   Tanner tools.
  434.  
  435.   Wellchecker:
  436.  
  437.   (from MUG) ftp venera.isi.edu (128.9.0.32)
  438.  
  439.   netcmp:
  440.  
  441.   Part of the caltech tools (see the "Caltech VLSI CAD Tools" section)
  442.  
  443. 7: EDIF data exchange format.
  444.  
  445.   (From Nigel Whitaker <nigelw@computer-science.manchester.ac.uk>)
  446.  
  447.   EDIF Version 3 0 0 was announed/released at the Design Automation Confer-
  448.   ence (DAC) at Dallas, Texas, 16/6/93.
  449.  
  450.   New Reference Manuals and EXPRESS information models for this new version
  451.   of EDIF are available from the EIA:
  452.  
  453.   Electronic Industries Association Standard Sales Department (Attn:
  454.   Cecelia Fleming) 2001 Pennsylvania Avenue, N.W.  Washington D.C. 20006,
  455.   USA
  456.  
  457.   An electronic copy of the BNF, together with other EDIF related informa-
  458.   tion such as tests files and EDIF documents can be obtained by anonymous
  459.   ftp from edif.cs.man.ac.uk in subdirectories of /pub/edif.
  460.  
  461.   An ftpmail server is provided for those without ftp access.  Send an
  462.   empty email message to: ftpmail@cs.man.ac.uk ; a message describing the
  463.   commands which can be used in further email messages to retreive files
  464.   will be sent to you.
  465.  
  466.   An electonic mailing list is available to people interested in EDIF and
  467.   for EDIF developers/programmers.  Send email to edif-users-
  468.   request@cs.man.ac.uk to be added.
  469.  
  470.   The EDIF Technical Centre (based at the University of Manchester and
  471.   funded by the CEC as part of ESPRIT 2072 -- ECIP) can be contacted by the
  472.   following means:
  473.  
  474.   EDIF Technical Centre, Depeartment of Computer Science University of Man-
  475.   chester, Manchester, M13 9PL, UK
  476.  
  477.   Tel: +44 61 275 6289 FAX: +44 61 275 6280 e-mail: edif-
  478.   support@cs.man.ac.uk
  479.  
  480. 8: What layout examples are available?
  481.  
  482.   From MUG:
  483.  
  484.   Analog neural network library of cells, 66-bit Manchester carry-skip
  485.   adder, static ram fabricated at 2-micron, an analog op amp, ftp
  486.   venera.isi.edu (128.9.0.32) Located in pub/mug.
  487.  
  488. 9: How can I get my lsi design fabbed and how much will it cost?
  489.  
  490.   See section on mosis fabrication services as well.
  491.  
  492.   (From chiang@m2c.org <Rit Chiang>) M2C can also provide low-cost, low-
  493.   volume prototyping fab services.  The current technology available to the
  494.   public is the  2um NWell single-poly double-metal process.
  495.  
  496.   For pricing information and fab schedule, please send e-mail to
  497.   hotline@m2c.org.
  498.  
  499.   Unfortunately, the fab line is currently inactive.  We have no informa-
  500.   tion as to when the fab will be back up.
  501.  
  502.   (From MUG 20 George Lewicki of Orbit Semiconductor)
  503.  
  504.   Orbit Semiconductor operates an integrated circuit prototyping service
  505.   that accepts designs each week for all of its processes.  The service is
  506.   available to both U.S. and non-U.S. designers. In- quiries about the
  507.   FORESIGHT prototyping service should be ad- dressed to George Lewicki.
  508.   Designs can now be submitted directly via email.
  509.  
  510.               Orbit Semiconductor, Inc.
  511.               1215 Bordeaux Drive
  512.               Sunnyvale, CA 94089
  513.               TEL: (408)-744-1800
  514.               FAX: (408)-747-1263
  515.               Email: foresight@orbsemi.com
  516.  
  517.   (Contributed by Don Bouldin of the University of Tennessee)
  518.  
  519.   Recently, I contacted several foundries to determine  which  com- panies
  520.   are  interested  in fabricating small to moderate lots of wafers for cus-
  521.   tom CMOS designs.  I believe many of the readers of this  column are
  522.   designers who wish to have fabricated only 1,000 to 20,000 parts per
  523.   year.  There are currently several  prototyp- ing  services  (e.g. MOSIS
  524.   and Orbit) that can produce fewer than 100 parts for about $100 each and
  525.   there are  also  several  foun- dries  which  are willing to produce
  526.   100,000 custom parts for $5- $20 each (depending on the die size and
  527.   yield).  My  purpose  was to  identify  those companies filling the large
  528.   gap between these two services.
  529.  
  530.   The prices in the table below are a result of averaging the  data sup-
  531.   plied by four foundries.  The raw data varied by more than +/- 40% so the
  532.   information should be used only in the early stages of budgetary  plan-
  533.   ning.   Once  the design specifications are fairly well known, the
  534.   designer should contact one or more foundries  to obtain  specific
  535.   budgetary  quotes.  As the design nears comple- tion, binding quotes can
  536.   then be obtained.
  537.  
  538.   The following assumptions were made by the foundries:
  539.  
  540.   All designs will require custom CMOS wafer  fabrication  using  a
  541.   double-metal, single-poly process with a feature size between 2.0 and 1.2
  542.   microns.  The designs may contain some  analog  circuitry and  some  RAM
  543.   so the yield has been calculated pessimistically.  The dies will be pack-
  544.   aged and tested at 1  MHz  using  a  Sentry- type digital tester for 5-10
  545.   seconds per part.  The customer will furnish the test vectors.
  546.  
  547.           Piece Price includes Wafer Fabrication+Die Packaging+Part Testing
  548.           Size        Package                      Quantity
  549.  
  550.                                  |1,000 | 5,000 | 10,000 | 20,000  |100,000
  551.           -----------------------------------------------------------------
  552.           2 mm x 2 mm; 84 PLCC:  | $ 27 | $  6  |  $  5  |  $  4   | $  3 |
  553.           5 mm x 5 mm; 84 PLCC:  | $ 31 | $ 12  |  $  8  |  $  7   | $  6 |
  554.           5 mm x 5 mm; 132 PGA:  | $ 49 | $ 30  |  $ 25  |  $ 22   | $ 18 |
  555.           7 mm x 7 mm; 132 PGA:  | $ 65 | $ 44  |  $ 36  |  $ 31   | $ 27 |
  556.  
  557.           Lithography charges:  $ 20,000 - $ 40,000
  558.           Preferred Formats:  GDS-II or  CIF Tapes
  559.           Additional charges for Second-Poly:  $ 5,000
  560.  
  561.   (This is from MUG 19, there is also a list of foundries that these prices
  562.   were derived from.  In the interested of saving space, I have ommitted
  563.   the list.  The list is available from MUG's ftp site included in MUG
  564.   newsletter #19.)
  565.  
  566. 10: Mosis fabrication services.
  567.  
  568.   (From Mosis) Information is available from mosis for pricing and fab
  569.   schedules through an automatic email system:
  570.  
  571.   Mail to mosis@mosis.edu with the message body as follows:
  572.  
  573.           REQUEST: INFORMATION
  574.           TOPIC: TOPICS
  575.           REQUEST: END
  576.  
  577.   for general information and a list of available topics.
  578.  
  579.   If you need to contact a person at mosis, you may mail to mosis@mosis.edu
  580.   with REQUEST: ATTENTION.
  581.  
  582.   Also anonymous ftp is available. ftp to ftp.mosis.edu.  This is a dupli-
  583.   cation of all files that are available from the mail server.
  584.  
  585.   (From MUG 20 Contributed by Don Bouldin of the University of Tennessee)
  586.  
  587.   Multi-project fabrication of BICMOS designs are already available to
  588.   European universities via CMP and to Canadian universities via the Cana-
  589.   dian Microelectronic Corporation.  However, in the United States, the
  590.   demand for BiCMOS fabrication via MOSIS has not been considered signifi-
  591.   cant.  MOSIS is currently planning to start offering 0.5-micron BiCMOS
  592.   during the first quarter of 1994. This will have a core voltage operation
  593.   of 3.3v and a clock frequency in the range of 220-250Mhz.  MOSIS is
  594.   interested in seeing if a larger demand exists in the community than
  595.   expressed so far.
  596.  
  597.   If you would like to have BiCMOS available before 1994, please send a
  598.   short note to mosis@mosis.edu (with a copy to bouldin@sun1.engr.utk.edu)
  599.   using the following format.
  600.  
  601.                REQUEST:  ATTENTION
  602.                           .
  603.                           .
  604.                    your message goes here
  605.                           .
  606.                           .
  607.                REQUEST: END
  608.  
  609.   (From MUG 20 and Chris Donham of the University of Pennsylvania)
  610.  
  611.   Support for mosis technologies under Cadence Analog Artist 2.4 is avail-
  612.   able as is from University of Pennsylvania.  This includes DRC, LVS, EXT,
  613.   and a beginner's guide.  Currently they are working on support for Opus
  614.   4.2.  The files supporting Artist 2.4 are currently available via
  615.   anonymous FTP.  Penn is not affiliated with MOSIS, except as a satisfied
  616.   customer, and as a result, NO WARRANTY IS EXPRESSED OR IMPLIED WITH
  617.   REGARDS TO THE FILES, OR THEIR FITNESS FOR ANY USE.  Use the files at
  618.   your own risk.  To obtain the files, FTP to axon.ee.upenn.edu
  619.   (130.91.6.208), using the name "anonymous" and your mailing address as
  620.   the password.  The files are in the "pub" directory.
  621.  
  622.   Penn is in the process of switching from Artist 2.4 to Opus 4.2.  The
  623.   manual is being rewritten, and the support files are being updated.
  624.   Technology files supporting DRC, Extract, and Compare are currently in
  625.   beta-test.  If problems or bugs are detected, please send email to
  626.   "cadence@axon.ee.upenn.edu".
  627.  
  628. 11: Archive sites for comp.lsi.cad and comp.lsi
  629.  
  630.   (None of these are comprehensive archives, rather, they have about 3
  631.   postings each)
  632.  
  633.   comp.lsi.cad:
  634.   cnam.cnam.fr in /pub/Archives/comp.archives/auto/comp.lsi.cad
  635.   cs.dal.ca in /pub/comp.archives/comp.lsi.cad
  636.   srawgw.sra.co.jp in /.a/sranha-bp/arch/arch/comp.archives/auto/comp.lsi.cad
  637.  
  638. 12: Other newsgroups that relate to comp.lsi*
  639.  
  640.   alt.cad
  641.   comp.cad.cadence
  642.   comp.lang.verilog
  643.   comp.lang.vhdl
  644.   comp.sys.mentor
  645.   sci.electronics
  646.  
  647. 13: Simulation programs tips/tricks/bugs
  648.  
  649.   Berkeley spice:
  650.  
  651.   Pspice:
  652.  
  653.   Hspice:
  654.  
  655.   If your simulation won't converge for a given DC input, you can ramp the
  656.   input and print the DC operating point and then set the nodes that way
  657.   for future simulations.
  658.  
  659.   A number of documents are available for information on BSIM model parame-
  660.   ters: (from Mark Johnson, as posted to comp.lsi <mjohnson@netcom.com>)
  661.  
  662.   1. The very best written description I have seen is in a software manual.
  663.      The good news is that this manual is free; the bad news is that you
  664.      have to buy the multi-thousand-dollar program in order to get the free
  665.      manual.  The program is HSPICE from Meta-Software Inc (Campbell,
  666.      Calif., USA).  The HSPICE User's Manual, chapter 7, gives all the
  667.      details you'd ever want to know regarding BSIM parameters.
  668.  
  669.   2. The second best description I have seen of BSIM is in, strangely
  670.      enough, a manual for BSIM2 (!).  It is available from the University
  671.      of California at Berkeley.  Telephone (510)-643-6687 and they will
  672.      give you instructions on how to buy the manual.  (They'll probably
  673.      suggest that you might want to buy some software too).
  674.  
  675.              J.S. Duster, M.C. Jeng, P.K. Ko, and C. Hu, "Users
  676.              Guide for the BSIM2 Parameter Extraction Program and
  677.              the SPICE3 with BSIM Implementation"
  678.  
  679.   3. You can learn some things about BSIM parameters by reading about pro-
  680.      grams which extract the parameters from measured data.  UC Berkeley
  681.      offers several programs and manuals for this.  The one that I person-
  682.      ally prefer is
  683.  
  684.              M.C. Jeng, B.J. Sheu, and P.K. Ko: "BSIM Parameter
  685.              Extraction - Algorithms and User's Guide," Memo
  686.              No. UCB/ERL M85/79, 7 October 1985.
  687.  
  688.   4. Next, look at Sheu's Ph.D. thesis.  He is the guy who combined the
  689.      Bell Labs CSIM model with a bunch of other published equations, and
  690.      formulated BSIM.  It's available from the same phone number.
  691.  
  692.              B.J. Sheu, "MOS Transistor Modelling and Characterization
  693.              for Circuit Simulation", Memo No. UCB/ERL M85/85,
  694.              26 October 1985
  695.  
  696.   5. The worst description (in +my+ opinion of course) is unfortunately in
  697.